First details of Samsung's 1.4nm process emerge

Industry News 2023-10-31

【Lansheng Technology News】SamsungFoundry Vice President Jeong Gi-Tae said that its upcoming SF1.4 (1.4 nanometer level) process technology will increase the number of nanosheets from 3 to 4. The move is expected to bring significant performance and power consumption benefits.


Samsung is the first company to launch a process technology that relies on gate-all-around (GAA) nanosheet transistors in mid-2022, with its SF3E (also known as 3nm gate-all-around ear, 3GAE). The company uses the technology to make a variety of chips, but use of the node is believed to be limited to microchips such as those used for cryptocurrency mining. Next year, Samsung plans to launch SF3 technology, which is expected to be adopted by a wider range of applications. Samsung plans to launch its performance-enhancing SF3P technology in 2025, which is designed for data center CPUs and GPUs.


Also in 2025,Samsungis expected to launch the SF2 (2nm level) manufacturing process, which will not only rely on GAA transistors, but will also adopt backside power transfer, which brings huge benefits in terms of transistor density and power transfer, perhaps in the launch of based on The biggest overhaul of Samsung's production node after GAA's SF3E will occur in 2027, when Samsung's SF1.4 technology will gain additional nanosheets by increasing the number of nanosheets from three to four.


Increasing the number of nanosheets per transistor can enhance drive current, thereby improving performance. More nanosheets allow more current to flow through a transistor, thereby enhancing its switching ability and operating speed. Additionally, more nanosheets allow for better control of current flow, which helps reduce leakage current and thus lower power consumption. Additionally, improved current control means the transistors generate less heat, improving power efficiency.


Both Intel and TSMC intend to start using GAA transistors and their 20A and N2 (2nm-scale) process technologies in 2024 and 2025 respectively. Samsung will have extensive experience with gate-all-around transistors, which could be beneficial to the foundry when these companies launch nanosheet-based nodes.


Disclaimer: The opinions, beliefs, and viewpoints expressed by the various authors and/or forum participants on this website do not necessarily reflect the opinions, beliefs, and viewpoints of Lansheng Technology Limited or official policies of Lansheng Technology Limited.

Previous: STMicroelectronics launches high-precision medium-voltage operational amplifier

Next: ON Semiconductor and Renesas team up to create leading system performance and enhance the safety of semi-autonomous driving